reset synchronizer
authormatt <matt@221aa14e-8319-0410-a670-987f0aec2ac5>
Sat, 4 Apr 2009 01:13:26 +0000 (01:13 +0000)
committermatt <matt@221aa14e-8319-0410-a670-987f0aec2ac5>
Sat, 4 Apr 2009 01:13:26 +0000 (01:13 +0000)
git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@10760 221aa14e-8319-0410-a670-987f0aec2ac5

usrp2/fpga/control_lib/reset_sync.v [new file with mode: 0644]

diff --git a/usrp2/fpga/control_lib/reset_sync.v b/usrp2/fpga/control_lib/reset_sync.v
new file mode 100644 (file)
index 0000000..94d9668
--- /dev/null
@@ -0,0 +1,16 @@
+
+
+module reset_sync
+  (input clk,
+   input reset_in,
+   output reg reset_out);
+
+   reg               reset_int;
+
+   always @(posedge clk or posedge reset_in)
+     if(reset_in)
+       {reset_out,reset_int} <= 2'b11;
+     else
+       {reset_out,reset_int} <= {reset_int,1'b0};
+   
+endmodule // reset_sync