Add custom FPGA build.
authorjcorgan <jcorgan@221aa14e-8319-0410-a670-987f0aec2ac5>
Thu, 30 Jul 2009 21:54:38 +0000 (21:54 +0000)
committerjcorgan <jcorgan@221aa14e-8319-0410-a670-987f0aec2ac5>
Thu, 30 Jul 2009 21:54:38 +0000 (21:54 +0000)
commit9c31d118f7ad218d1dcd723562fe132138ab01d6
treea2a5a6b1e27eae84b84e08fe3c398c01c3f6df5d
parent5bab84be03b894b06ab939ac9bc567e3c96cf05a
Add custom FPGA build.

This is a custom build for USRP2 FPGA.  It allows using a BasicRX or
LFRX board and feed two independent, real signals.  In addition, instead
of the CIC/HB decimator, which optimizes frequency response, it uses an
integrate and dump decimator, which optimizes for time-domain impulse
response.

These changes have been made in dsp_core_rx.v:

* A second DDC has been added, sharing a frequency register with
  the existing DDC.

* The output of the two DDCs are interleaved as I1 Q1 I2 Q2I ...
  into the receive FIFO.  This limits the host configured decimation
  to 8 intead of 4.  Use gr.deinterleave to recover the streams.

* The ADCs are hardcoded:

  RX_A ==>  DDC #1 I-input
     0 ==>  DDC #1 Q-input
  RX_B ==>  DDC #2 I-input
     0 ==>  DDC #2 Q-input

  Thus, the input mux has been disabled.

* The CIC/HB decimator has been replaced by an integrate and dump at
  the decimation rate.

* To assist with meeting timing, the external RAM has been disabled.

The basic application is to coherently sample two real IF streams and
downconvert to baseband, while minimizing the impulse response duration
of the resampling filters.

git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@11519 221aa14e-8319-0410-a670-987f0aec2ac5
13 files changed:
usrp2/fpga/sdr_lib/integrate.v [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/Makefile [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/README [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/cmdfile [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_rx.v [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.sav [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/dsp_core_tb.v [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/impulse.v [new file with mode: 0644]
usrp2/fpga/top/u2_rev3_2rx_iad/u2_core.v [new file with mode: 0755]
usrp2/fpga/top/u2_rev3_2rx_iad/wave.sh [new file with mode: 0755]
usrp2/fpga/top/u2_rev3_iad/Makefile
usrp2/fpga/top/u2_rev3_iad/impulse.v
usrp2/fpga/top/u2_rev3_iad/integrate.v [deleted file]